MENU

Chip war, recession bites for semiconductor equipment makers

Chip war, recession bites for semiconductor equipment makers

Market news |
By Nick Flaherty



The latest financial figures from ASML and Lam Research highlight the challenges of the coming global recession for semiconductor equipment makers. This is before US restrictions on staff as part of the chip war with China are included.

The third quarter results from ASML in the Netherlands show net sales of €5.8bn, up 6.8% on Q2, and record quarterly net bookings of €8.9bn. The company expects Q4 2022 net sales between €6.1bn and €6.6bn, leading to full year sales of €21.1bn, down from $22.0bn in 2021.

Part of this is down to the fast shipments which delay revenue by shipping faster to fabs, which is expected to shift €2.2bn of revenue into 2023.

“There is uncertainty in the market due to a number of global macro-economic concerns including inflation, consumer confidence and the risk of a recession,” said Peter Wennink, President and Chief Executive Officer of ASML.

“While we are starting to see diverging demand dynamics per market segment, the overall demand for our systems continues to be strong. This resulted in record bookings in the third quarter of around €8.9 billion of which €3.8 billion is EUV, including High-NA systems,”

 “We are continuing to assess and follow the new US export control regulations. Based on our initial assessment, the new restrictions do not amend the rules governing lithography equipment shipped by ASML out of the Netherlands and we expect the direct impact on ASML’s overall 2023 shipment plan to be limited.

At the same time , Lam Research in the US saw revenue of $5.07bn in Q3, up 9.5%V on the $4.6bn in Q2. However it expects revenue to be essentially flat in Q4.

“Lam exceeded $5 billion in revenue in the September quarter, an all-time record. Solid execution combined with easing supply chain conditions produced strong overall performance,” said Tim Archer, Lam Research’s President and Chief Executive Officer.

“While we expect weaker wafer fabrication equipment spending in 2023, our technology leadership and demonstrated track record of managing through downturns provide us a strong foundation to capture the exciting opportunities ahead for the semiconductor industry,” he said.

The company has 30% of its business in China, with 22% in Taiwan.

It too has revenues that are deferred, and this grew to $2.75bn from $2.19bn in Q2.

For the leading edge technologies at the heart of the chip war, all ASML’s EUV customers, which include Intel, TSMC and Samsung, have ordered high NA systems.

“In our EUV High-NA business, we received additional orders for the TWINSCAN EXE:5200; all current EUV customers have now submitted orders for High-NA.”

www.asml.com; www.lamresearch.com

Related articles

Other articles on eeNews Europe

If you enjoyed this article, you will like the following ones: don't miss them by subscribing to :    eeNews on Google News

Share:

Linked Articles
10s