MENU

Eliyan tapes out 64Gbit/s chiplet PHY on 3nm

Eliyan tapes out 64Gbit/s chiplet PHY on 3nm

Technology News |
By Nick Flaherty



Eliyan has taped out a high performance interconnect for chiplet designs on standard packaging with an organic substrate.

The PHY physical interface gives a bandwidth of 64Gbit/s per bump on a chiplet on a 3nm process using standard packaging. This confirms Eliyan’s ability to enable die-to-die connectivity on organic substrates rather than needing a more expensive silicon interposer.

The NuLink PHY leverages interference cancellation techniques to fit under not only 100um bump pitch of standard packaging, but also 55um of advanced packaging. In standard packaging it can deliver up to 4.55Tbit/s/mm and in advanced packaging can deliver up to 21Tbit/s/mm.

The tape-out includes a die-to-die PHY coupled with an adaptor layer/link layer controller IP to provide complete designs for high performance computing, AI and edge applications.

First silicon of the NuLink PHY in 3nm is expected in Q3 2024 using standard organic/laminate packaging with 8-2-8 stack. The PHY is compatible with the UCIe industry interconnect standard and the Bunch of Wires interconnect developed by Eliyan, and also supports the Universal Memory Interconnect (UMI). UMI is a novel chiplet interconnect technology that doubles the Die-to-Memory bandwidth efficiency by via a dynamic bidirectional PHY. The UMI specifications are currently being finalized at OCP/ODSA.  

The ability to implement chiplet-based systems in standard organic packages enables the creation of larger system-in-package (SiP) solutions, with higher performance per power at considerably lower cost and system level power. These factors provide major gains in sustainability as well as improving the supply chain options.

“Our strategy is to provide maximum flexibility in choice of packaging options, while delivering optimal performance required for any given application. While our support for advanced packaging techniques and the use of interposers offers significant benefits, there are practical considerations that make standard packaging a more viable choice in certain cases. By being able to deliver industry leading bandwidth and maintain compatibility with emerging interconnect standards using organic substrates, we offer a best of both worlds solution that addresses the business and technical challenges of any packaging alternative,” said Eliyan’s founding CEO Ramin Farjadrad.

“Enabling ultra-high-bandwidth, low-power chiplet connectivity on larger-sized organic packages allows exciting options for architects. This will allow practical package construction to bring an efficient combination of compute density, memory bandwidth and capacity, at a substantial improvement in performance-per-dollar and performance-per-watt,” said Raja Koduri, Founder and CEO of Mihira AI.

 www.eliyan.com

 

If you enjoyed this article, you will like the following ones: don't miss them by subscribing to :    eeNews on Google News

Share:

Linked Articles
10s