MENU

IMEC claims high-NA EUV patterning is ready to make chips

IMEC claims high-NA EUV patterning is ready to make chips

Partners |
By Peter Clarke



Research institute IMEC is set to present progress on high-numerical aperture extreme ultraviolet (high-NA EUV) lithography that is says shows a readiness to make chips at its lab shared with ASML.

IMEC states that advances in processes, masks and metrology will enable chipmakers to benefit from the resolution gain offered by the first ASML 0.55NA EUV scanner; the Twinscan Exe:5000.

Achievements set to be reported cover resist and underlayer development, mask enhancement, optical proximity correction (OPC) development, at-resolution field stitching, reduction of stochastic failures, and improved metrology and inspection. IMEC said the combination of these techniques means that EUV processes are ready to be transferred to the joint IMEC-ASML high-NA EUV Lab, built around the first prototype high-NA EUV scanner.

The creation of test structures, test circuits and ICs at the lab is a preliminary step to customers running their own manufacturing processes on high-NA EUV scanners in their own fabs.

Steven Scheer, a senior vice president at IMEC, said: “The first high-NA EUV scanner has been assembled by ASML and the first wafers will be exposed soon. In the next few months, the joint IMEC-ASML High-NA EUV Lab will be operational, and access will be provided to the high-NA customers.” Scheer added that the readiness of the high-NA EUV ecosystem  is demonstrated by more than 25 papers on related topics at the 2024 SPIE Advanced Lithography & Patterning Conference.

Field stitching

Field stitching is a key enabler in High-NA: field stitching is needed because of the use of an anamorphic lens – a lens with different de-magnification in the x and y directions. This results in field sizes that are half that of the conventional scanner field size. IMEC will share the latest insights that enable at-resolution stitching based on work done with ASML and mask shop partners using IMEC’s NXE:3400C scanner. At-resolution stitching will reduce the need for design changes to cope with the field size reduction.

Metal-oxide resists

Imec will present advances in metal-oxide results in terms of EUV dose-to-yield reduction. The selection of the specific underlayer, optimization of the development process, choice of mask absorber, mask bias and mask produced dose reduction of 20 percent for lines and spaces, without increased roughness or stochastic failures. Also, tip-to-tip dimensions were not negatively impacted by these dose reduction activities. The dose reduction work continues and is highly appreciated by our chip makers, since it leads to EUV cost reduction due to the higher scanner throughput.

An unexpected result was obtained by using MOR resists with a binary bright field mask for contact hole patterning. A 6 percent dose reduction with a 30 percent local critical dimension uniformity (LCDU) improvement was achieved after pattern transfer when comparing to a positive tone chemically amplified resist (CAR) and a binary dark field mask transferred in the same stack. A remaining concern of bright field masks for contact holes is the mask quality and defectivity. This will need careful investigation to make MOR an option for contact holes. Until then, positive tone CAR resists with dark field masks will be the leading candidates for contact and via patterning in High-NA EUV.

AI to denoise SEMs

High-NA also requires improvements in metrology and inspection, giving the higher resolution (by the high NA) and thinner films (by the reduced depth of focus). Imec will present new results on e-beam and deep-UV inspection. In addition, several machine learning techniques applied to denoising SEM micrographs will be proposed to facilitate the small defect inspection and classification.

Finally, imaging improvements through source-mask optimizations and anamorphic mask OPC will be presented by IMEC and partners.

Related links and articles:

www.imec-int.com

News articles:

IBM teams for 2nm, 1nm EUV mask development

Canon could ship nano-imprint lithography machines in 2024

China’s synchrotron EUV lithography light source is no sanctions buster

‘Pitch-splitting’ chip startup Alix Labs raises more money

 

If you enjoyed this article, you will like the following ones: don't miss them by subscribing to :    eeNews on Google News

Share:

Linked Articles
10s