MENU

24nm pitch lines with single exposure EUV lithography

24nm pitch lines with single exposure EUV lithography

Technology News |
By eeNews Europe



By combining advanced imaging schemes, innovative resist materials and optimized settings on ASML’s NXE:3400B system in imec’s cleanroom, the system is capable of printing lines/spaces at 24 nm pitch in a single exposure step. This imaging performance enables imec’s ecosystem of resist and patterning partners to use the NXE:3400B as a platform for early material development for future process nodes that will be enabled by ASML’s next-gen EUV system, which will first ship in 2022. The EXE:5000 will have a numerical aperture of 0.55, much higher than the 0.33 of current EUV systems like NXE:3400B.

Imec demonstrates 24nm pitch resolution with single exposure
EUV lithography on ASML’s NXE:3400B scanner using a metal-
oxide resist (MOR) and a chemically-amplified resist (CAR).

The NXE:3400B allows illumination of the mask under high incident angles. Under standard illumination the EUV mask tends to distort the wafer image under these high incident angles – creating poor resist profiles. Through a fundamental understanding of EUV mask effects, obtained from a joint imec/ASML study, the teams have found an innovative way to compensate for unwanted image distortion. In combination with an illumination optimization, this enabled the teams to print a pitch as small as 24 nm in a single EUV exposure step with a minimum exposure dose of 34J/cm2. ASML’s NXE:3400B was installed in imec’s 300mm cleanroom in Q2 2019. It is now an important part of imec’s R&D activities.


In addition, first 300 mm wafer high-NA lithography is anticipated this October using another key enabler, imec’s attosecond analytical and interference lithography lab. The AttoLab is critical to explore the molecular dynamics, at an attosecond scale, during exposure of photoresist to EUV ionizing radiation and, using interference lithography, it offers the first 300mm high-NA resist imaging capability to print features down to pitches of 8nm.

Imec – www.imec-int.com

Related articles:

ASML, IMEC to take EUV lithography to high-NA

EUV lithography: 32nm pitch on a single exposure

Imec demonstrates M2 interconnect layers at a 21nm pitch

ASML prospers as EUV lithography takes off

If you enjoyed this article, you will like the following ones: don't miss them by subscribing to :    eeNews on Google News

Share:

Linked Articles
10s