MENU

Delivering power from the back of a chip

Delivering power from the back of a chip

Technology News |
By Nick Flaherty



Researchers at imec in Belgium are moving the power distribution to the back of the silicon wafer to allow direct power delivery to the standard cells.

The researchers worked with ARM on a processor design where the backside power delivery is connected to a buried power rail (BPR), a local power rail that is buried in the chip’s front-end-of-line. This  improves the supply-voltage (or IR) drop that is caused by a resistance increase in the BEOL of traditional designs.

It is however a more complex way of delivering power as a dedicated wafer thinning process is needed in combination with the ability to process nano-through-silicon-vias (n-TSVs) that connect the power lines from the back to the front

Related imec articles

 

So imec researchers evaluated the impact of backside wafer thinning and n-TSV fabrication on the characteristics of scaled Si-channel FinFET test devices (gate length ≥20nm), built in the wafer’s frontside. The backside connectivity was achieved using tungsten-filled n-TSVs that land on metal-1 pads in the wafer’s frontside.

“The most important conclusion of this work is that wafer thinning and n-TSV processing in the backside did not show any negative impact on the performance of the FinFETs, except for a slight degradation of the pMOS drive current,” said Naoto Horiguchi, director CMOS device technology at imec. “For nMOS, an even higher mobility and drivability (up to 15 percent) were found after backside processing, and no bias temperature instability (BTI) degradation was observed. In this work, wafers were thinned down to final Si thicknesses ranging between 20 and 370nm.”

The wafer thinning and via-last n-TSV fabrication processes used in this study are being developed and optimized in the frame of imec’s 3D integration program.

“First, an epitaxial stack of Si/SiGe layers is grown on top of a bulk Si substrate. The SiGe layer later serves as an etch stop layer for ending the wafer thinning,” said Eric Beyne, senior fellow, VP R&D and program director 3D system integration program at imec.

“The frontside, including the FinFET devices, is then built on top of this Si ‘capping’ layer. Cu metal-1 metallization completes the frontside processing,” he said. “Next, the wafer is flipped over, and the ‘active’ frontside of the wafer is bonded to a second ‘carrier’ Si wafer using a low-temperature wafer-to-wafer bonding technique. The backside of the first wafer can now be thinned down to where the SiGe etch stop layer is located. Thinning down to a few 100nm is required to expose the high-aspect ratio nano-meter scale TSVs. After SiGe removal, the process is completed by n-TSV patterning and tungsten fill, and backside metallization.”

With this approach for backside power delivery, n-TSVs electrically connect the backside metal-1 to the frontside metal-1. Their electrical performance was successfully verified in specific n-TSV configurations (such as daisy chains). The n-TSVs can alternatively land on buried power rails implemented in the wafer’s frontside. Process steps for this challenging configuration are under development.

Next: imec shows backside power for 3D packaging


Imec is also looking at new techniques for power delivery networks that comnine on-chip as well as off-chip components.

“In general, the power supply in advanced IC systems is seriously challenged by increased power density, lower supply voltage (and hence, larger currents), aggressive IR drop, voltage noise and electromigration,” said Geert Van der Plas, program manager at imec. “Removing the power delivery as well as the power conversion from the logic die’s frontside to its backside can boost the system performance by specific designs.

“An example is a metal-insulator-metal capacitor (or MIMCAP) that serves as a decoupling capacitor – a component that allows to stabilize the voltage supply by de-coupling the noise generated by the transistors switching activity,” he said. “We have shown that integrating this passive MIMCAP component in the wafer’s backside can reduce the supply ‘bounce’ with a factor of 15.”

Delivering power through the backside will eventually also affect the I/O implementation, which should now also be moved to the backside. Compared to conventional frontside I/O cells, additional capacitances coming from the backside power delivery network might impact the I/O interfaces. The fifth paper at VLSI looks at the I/O development roadmap using fully back-side connection with buried power rail and layout options such as deep trench isolation to reduce the extra capacitance.

www.imec-int.com 

Other articles on eeNews Europe

If you enjoyed this article, you will like the following ones: don't miss them by subscribing to :    eeNews on Google News

Share:

Linked Articles
10s